Show simple item record

dc.contributor.authorZin, Emil Hyunbaeen_US
dc.date.accessioned2013-03-20T19:11:21Z
dc.date.available2013-03-20T19:11:21Z
dc.date.issued2013-03-20
dc.date.submittedJanuary 2012en_US
dc.identifier.otherDISS-11823en_US
dc.identifier.urihttp://hdl.handle.net/10106/11533
dc.description.abstractThis study investigates the structural stability of porous low dielectric constant materials (PLK) under thermal and mechanical load and the influence of contributing factors including porosity as intrinsic factor and plasma damage and moisture absorption as extrinsic factors on thermo-mechanical instability of PLK in advanced Cu/PLK interconnects. For this purpose, a ball indentation creep test technique was developed to examine the thermal and mechanical instability of PLK at relevant load and temperature conditions in the interconnect structure. Our exploration with the ball indentation creep test found that PLK films plastically deforms with time, indicating that viscoplastic deformation does occur under relevant conditions of PLK processing. On the basis of the results that the increase of the indentation depth with time shows more noticeable difference in PLK films with higher porosity, plasma exposure, and moisture absorption, it is our belief that PLK stability is greatly affected by porosity, plasma damage and moisture. Viscous flow was found to be mechanism for the viscoplastic deformation at the temperature and load of real PLK integration processing. This finding was obtained from the facts that the kinetics of the indentation creep fit very well with the viscous flow model and the extracted stress exponent is close to unity. Based on the results of temperature dependence in all PLK films, the activation energy(~1.5eV) of the viscosity back calculated from the experimental value of the kinetics was found to be much small than that of a pure glass (> 4eV). This suggests that the viscous flow of PLK is controlled by chemical reaction happening in PLK matrix. The FT-IR measurement for the examination of chemical bond reconfiguration shows that the intensity of Si-OH bonds increases with the flow while that of Si-O-Si, -CHX and Si-CH3 bonds decreases, indicating that chemical reactions are involved in the deformation process. From these findings, it is concluded that the viscoplastic deformation in PLK films proceeds mainly by the viscous flow but is assisted by chemical reaction that reconfigure bonding configuration in the network (Si-OH or Si-H). In addition, the effect of integration process steps on the PLK stability with a variety of integration processes such as plasma etching/ashing, chemical-mechanical polishing (CMP) and Ultra-Violet (UV) irradiation cure processes has been investigated. With the use of the ball indentation creep test, the damage to PLK film was found to be cumulative due to the each process step and was the most pronounced when PLK films were exposed to plasma etching and ashing processes.en_US
dc.description.sponsorshipKim, Choong-Unen_US
dc.language.isoenen_US
dc.publisherMaterials Science & Engineeringen_US
dc.titleInvestigation On Thermo-mechanical Instability Of Porous Low Dielectric Constant Materialsen_US
dc.typePh.D.en_US
dc.contributor.committeeChairKim, Choong-Unen_US
dc.degree.departmentMaterials Science & Engineeringen_US
dc.degree.disciplineMaterials Science & Engineeringen_US
dc.degree.grantorUniversity of Texas at Arlingtonen_US
dc.degree.leveldoctoralen_US
dc.degree.namePh.D.en_US


Files in this item

Thumbnail


This item appears in the following Collection(s)

Show simple item record