Show simple item record

dc.contributor.authorSa, Yoonkien_US
dc.date.accessioned2015-12-11T23:19:55Z
dc.date.available2015-12-11T23:19:55Z
dc.date.issued2015
dc.date.submittedJanuary 2015en_US
dc.identifier.otherDISS-13096en_US
dc.identifier.urihttp://hdl.handle.net/10106/25324
dc.description.abstractContinuous scaling down of critical dimensions in interconnect structures requires the use of ultralow dielectric constant (k) films as interlayer dielectrics to reduce resistance-capacitance delays. Porous carbon-doped silicon oxide (p-SiCOH) dielectrics have been the leading approach to produce these ultralow-k materials. However, embedding of porosity into dielectric layer necessarily decreases the mechanical reliability and increases its susceptibility to adsorption of potentially deleterious chemical species during device fabrication process. Among those, exposure of porous-SiCOH low-k (PLK) dielectrics to oxidizing plasma environment causes the increase in dielectric constant and their vulnerability to mechanical instability of PLKs due to the loss of methyl species and increase in moisture uptake. These changes in PLK properties and physical stability have been persisting challenges for next-generation interconnects because they are the sources of failure in interconnect integration as well as functional and physical failures appearing later in IC device manufacturing. It is therefore essential to study the fundamentals of the interactions on p-SiCOH matrix induced by plasma exposure and find an effective and easy-to-implement way to reverse such changes by repairing damage in PLK structure. From these perspectives, the present dissertation proposes 1) a fundamental understanding of structural transformation occurring during oxidative plasma exposure in PLK matrix structure and 2) its restoration by using silylating treatment, soft x-ray and inert Ar-plasma radiation, respectively. Equally important, 3) as an alternative way of increasing the thermo-mechanical reliability, PLK dielectric film with an intrinsically robust structure by controlling pore morphology is fabricated and investigated. Based on the investigations, stability of PLK films studied by time-dependent ball indentation tester under the elevated temperature, variation in film thickness and dielectric constant, shows striking difference with small change in the chemical bond structure. Comparison of peak extracted by using FTIR (Fourier transform infrared spectroscopy) reveals that viscoplastic deformation and dielectric constant change correctly reflect the evolution in morphological structure of Si-O-Si peak. It is also found that hydrophilic nature of PLK matrix induced by silanol group is more involved with viscoplastic deformation rate and cage-like crosslinking in Si-O-Si peak is responsible for dielectric constant change. However, the level of instability driven by plasma exposure in PLK matrix is found to recover and desired mechanical and electrical properties are obtained by modifying the chemical bond configuration. Silylation process by HMDS (hexamethyldisilazane) works on recovery of hydrophobicity because it replenishes -C while removing -OH bonds. Contact angle is restored by controlling process temperature, however, the silylating agent cannot penetrate deep into PLK matrix without an adequate medium such as supercritical CO2, making it difficult to implement. As a way of overcoming the limitation of UV cure, soft x-ray cure with Al Ká target is applied to induce gentle reconfiguration of chemical bond. It is possible to break bond links selectively by controlling x-ray energy level and also reduce thermal curing temperature due to the increased penetration depth. As a result of soft x-ray cure, film thickness loss almost not occurred. However, influence of x-ray radiation on the moisture removal is limited. Basically, oxidative plasma damage appears in two extensive areas. The first is the loss of -C from PLK matrix, and the second is the increase in hydrophilic nature involved with the formation of Si-OH terminal bonds and H2O. Both alternations cause the dielectric constant to degrade because of increased density and/or loss of free volume, but the second causes PLK to lose thermal and mechanical stability because Si-OH and H2O act as catalysts for reactions that break the cross-linked backbone. Clearly, both changes in PLK chemistry and bond structure must be addressed in order for any repair method to be favorable. For this reason, Ar plasma treatment with low energy ions is employed to repair the plasma induced damage by creating the desired changes in the film matrix without a significant loss of other properties. Our approach of using inert plasma as a way for damage recovery is motivated by the realization that there is no possibility of chemical reaction with any organic species, driving the energy transfer only from the plasma species towards the respective film matrix. As results, after applying Ar plasma beam treatment followed by annealing on damaged PLK films, the resistance against thermal instability and viscoplastic deformation is found to be improved. Ball indentation depth of the films with Ar plasma process is drastically reduced at the identical condition. More noticeable is the fact that such alternation is converted towards a dehydration reaction under hydrostatic thermal pressure, which causes dielectric constant to decrease and films shrinkage to restore during reconstruction of polymer chains. It is suggested that the immediate event of an Ar plasma beam radiation is to deposit energy from the plasma species (ions, electrons) and this energy input produces the excited state species because Ar cannot chemically react with the film matrix. As a consequence, the radical sites are generated at the less stable area such as colony boundary or pore surface with the decay of the excited species, leading to the production of free radicals by an energy transfer to the bonds which are to be broken. Then, the activated sites experience chemical bond rearrangement by chain-scission, branching, or cross-linking. In our case, crosslink with C is involved with silylmethylene (Si-(CH2)x-Si) groups and it is turned out that some of these groups are converted to methyl groups terminally bonded to siloxane backbone structure under 300~400oC by reaction with -OH, and simultaneously creating a new Si-O-Si crosslink. As an alternative way of increasing the thermo-mechanical reliability, PLK dielectric film with an intrinsically robust structure by controlling pore morphology is fabricated. Since pore surface is susceptible to be damaged by BEOL integration damage, pore morphology in terms of size, distribution, and connectivity should be controlled in order to increase the robustness of PLK dielectrics. Generally, pores in PLK matrix are created by depositing organic fragment (called `porogen') into the film and removed later by thermal and electron beam cure to form porous PLK layer (; Subtractive deposition). However, during the curing Si-O-Si backbone crosslink is broken and pores are easily interconnected, leading to vulnerable structure to the extrinsic damage. Constitutive deposition approach is feasible for the introduction of smaller nano-pores with little or no interconnectivity by steric hindrance. Due to the closed pore system, thermally-induced stress and plasma-induced damage is restricted merely to the surface of the dielectric film. This is attributed to the stable siloxane (Si-O-Si) backbone and the terminally bonded methyl group attached to silicon (Si-CH3), inducing steric hindrance that lowers the density of the films. The low dielectric constant and mechanical stability are closely involved with the formation of the Si-O-Si cage-like structure and an appropriate combination of stable Si-O-Si, Si-CH3 groups. Based on the FTIR and XPS spectra, it is concluded that the formation of the Si-O-Si cage-like structure was enhanced by structural method.It is believed that all these changes are beneficial for improving PLK stability as will be detailed in this dissertation. Especially, the originality and particular advantage of this study regarding plasma-induced damage repair will be highlighted.en_US
dc.description.sponsorshipKim, Choong-Unen_US
dc.language.isoenen_US
dc.publisherMaterials Science & Engineeringen_US
dc.titleMechanical Reliability Of Porous Low-k Dielectrics For Advanced Interconnect: Study Of The Instability Mechanisms In Porous Low-k Dielectrics And Their Mediation Through Inert Plasma Induced Re-polymerization Of The Backbone Structureen_US
dc.typePh.D.en_US
dc.contributor.committeeChairKim, Choong-Unen_US
dc.degree.departmentMaterials Science & Engineeringen_US
dc.degree.disciplineMaterials Science & Engineeringen_US
dc.degree.grantorUniversity of Texas at Arlingtonen_US
dc.degree.leveldoctoralen_US
dc.degree.namePh.D.en_US


Files in this item

Thumbnail


This item appears in the following Collection(s)

Show simple item record